I2C Master block in SOC FPGA

2 views (last 30 days)
Cau Tran
Cau Tran on 22 Aug 2022
Commented: Cau Tran on 30 Aug 2022
Hi everyone,
I have some confuse for the model I2C Master in SOC library. I see the Sda, scl, sclIn, sdaIn, I don't know how to implement these one in Xilinx hardware because in I2C protocol, It just have only one SDA and SCL.
And I am trying to simulate this model but It not run well, I think It need to have ack signal from slave device.
Do you have any example for I2C master block, please give me.

Accepted Answer

Kiran Kintali
Kiran Kintali on 29 Aug 2022
Please find attached a sample example of I2C Master and Slave model blocks with behavioral plant models for IMUs.
You can also find I2C Block reference in SoC Blockset here: https://www.mathworks.com/help/soc/ref/i2cmaster.html

More Answers (0)

Categories

Find more on System on Chip (SoC) in Help Center and File Exchange

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!