Clear Filters
Clear Filters

Info

This question is closed. Reopen it to edit or answer.

Black box in system generator

2 views (last 30 days)
Sandeep Kumar
Sandeep Kumar on 19 Nov 2015
Closed: Sabin on 2 Feb 2023
im implementing an "and" gate in system generator using black box, i have coded the "and" gate in vhdl, i have called the block in black box , my a,b,c are all "std_logic" only, i have given fix_1_0 as gateway input to the black box with constant simulink block, but im nt able to simulate the logic in simulator, its nt showing any simulation error, nothing ,kindly help me !!!

Answers (0)

This question is closed.

Products

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!