Community Profile

photo

mehmed celebi


AU

Active since 2009

Statistics

All
  • First Submission

View badges

Content Feed

View by

Question


different versions, different results. Which is the accurate one??
I ran my simulink model in different versions of Matlab, 2007, 2009, 2011, 2012, 2014. All gave different results. Which is the ...

9 years ago | 0 answers | 0

0

answers

Question


matrice problem in sim command
Hi, I have an extraordinary problem in simulink. I have a simulink mdl file. When I run this file from command line with sim ...

9 years ago | 1 answer | 0

1

answer

Submitted


varying pulse generator
this is a varying pulse generator

15 years ago | 1 download |