photo

Ana


Active since 2015

Followers: 0   Following: 0

Message

Statistics

Feeds

View by

Answered
How to transmit 96 bits using RS232?
I need send 3 package of 32 bits to a FPGA and after few operations doing by the FPGA, I need transfer a package of 32 bits fro...

9 years ago | 0

Question


How to transmit 96 bits using RS232?
I try to divide the 96 bits in groups of 8 bits and sent them using RS232, after the 96 bits have been sent to a FPGA and it d...

9 years ago | 2 answers | 0

2

answers